Refine your search:     
Report No.
 - 
Search Results: Records 1-20 displayed on this page of 137

Presentation/Publication Type

Initialising ...

Refine

Journal/Book Title

Initialising ...

Meeting title

Initialising ...

First Author

Initialising ...

Keyword

Initialising ...

Language

Initialising ...

Publication Year

Initialising ...

Held year of conference

Initialising ...

Save select records

Journal Articles

Structural changes of polystyrene particles in subcritical and supercritical water revealed by in situ small-angle neutron scattering

Shibata, Motoki*; Nakanishi, Yohei*; Abe, Jun*; Arima, Hiroshi*; Iwase, Hiroki*; Shibayama, Mitsuhiro*; Motokawa, Ryuhei; Kumada, Takayuki; Takata, Shinichi; Yamamoto, Katsuhiro*; et al.

Polymer Journal, 55(11), p.1165 - 1170, 2023/11

 Times Cited Count:1 Percentile:44.82(Polymer Science)

Journal Articles

Formation of high-quality SiO$$_{2}$$/GaN interfaces with suppressed Ga-oxide interlayer via sputter deposition of SiO$$_{2}$$

Onishi, Kentaro*; Kobayashi, Takuma*; Mizobata, Hidetoshi*; Nozaki, Mikito*; Yoshigoe, Akitaka; Shimura, Takayoshi*; Watanabe, Heiji*

Japanese Journal of Applied Physics, 62(5), p.050903_1 - 050903_4, 2023/05

 Times Cited Count:2 Percentile:71.03(Physics, Applied)

While the formation of an GaO$$_{x}$$ interlayer is key to achieving SiO$$_{2}$$/GaN interfaces with low defect density, it can affect the reliability and stability of metal-oxide-semiconductor (MOS) devices if the annealing conditions are not properly designed. In the present study, we aimed to minimize the growth of the GaO$$_{x}$$ layer on the basis of the sputter deposition of SiO$$_{2}$$ on GaN. Synchrotron radiation X-ray photoelectron spectrometry measurements confirmed the suppressed growth of the GaO$$_{x}$$ layer compared with a SiO$$_{2}$$/GaN structure formed by plasma-enhanced chemical vapor deposition. Negligible GaO$$_{x}$$ growth was also observed when subsequent oxygen annealing up to 600$$^{circ}$$C was performed. A MOS device with negligible capacitance-voltage hysteresis, nearly ideal flat-band voltage, and low leakage current was demonstrated by performing oxygen and forming gas annealing at temperatures of 600$$^{circ}$$C and 400$$^{circ}$$C, respectively.

Journal Articles

Electrical properties and energy band alignment of SiO$$_{2}$$/GaN metal-oxide-semiconductor structures fabricated on N-polar GaN(000$$bar{1}$$) substrates

Mizobata, Hidetoshi*; Tomigahara, Kazuki*; Nozaki, Mikito*; Kobayashi, Takuma*; Yoshigoe, Akitaka; Hosoi, Takuji*; Shimura, Takayoshi*; Watanabe, Heiji*

Applied Physics Letters, 121(6), p.062104_1 - 062104_6, 2022/08

 Times Cited Count:1 Percentile:15.88(Physics, Applied)

The interface properties and energy band alignment of SiO$$_{2}$$/GaN metal-oxide-semiconductor (MOS) structures fabricated on N-polar GaN(000$$bar{1}$$) substrates were investigated by electrical measurements and synchrotron-radiation X-ray photoelectron spectroscopy. They were then compared with those of SiO$$_{2}$$/GaN MOS structures on Ga-polar GaN(0001). Although the SiO$$_{2}$$/GaN(000$$bar{1}$$) structure was found to be more thermally unstable than that on the GaN(0001) substrate, excellent electrical properties were obtained for the SiO$$_{2}$$/GaN(000$$bar{1}$$) structure by optimizing conditions for post-deposition annealing. However, the conduction band offset for SiO$$_{2}$$/GaN(000$$bar{1}$$) was smaller than that for SiO$$_{2}$$/GaN(0001), leading to increased gate leakage current. Therefore, caution is needed when using N-polar GaN(000$$bar{1}$$) substrates for MOS device fabrication.

Journal Articles

Multilayered lamellar materials and thin films by instant self-assembly of amphiphilic random copolymers

Ikami, Takaya*; Watanabe, Yuki*; Ogawa, Hiroki*; Takenaka, Mikihito*; Yamada, Norifumi*; Ouchi, Makoto*; Aoki, Hiroyuki; Terashima, Takaya*

ACS Macro Lett (Internet), 10(12), p.1524 - 1528, 2021/12

 Times Cited Count:8 Percentile:46.56(Polymer Science)

Journal Articles

Thermally altered subsurface material of asteroid (162173) Ryugu

Kitazato, Kohei*; Milliken, R. E.*; Iwata, Takahiro*; Abe, Masanao*; Otake, Makiko*; Matsuura, Shuji*; Takagi, Yasuhiko*; Nakamura, Tomoki*; Hiroi, Takahiro*; Matsuoka, Moe*; et al.

Nature Astronomy (Internet), 5(3), p.246 - 250, 2021/03

 Times Cited Count:44 Percentile:97.1(Astronomy & Astrophysics)

Here we report observations of Ryugu's subsurface material by the Near-Infrared Spectrometer (NIRS3) on the Hayabusa2 spacecraft. Reflectance spectra of excavated material exhibit a hydroxyl (OH) absorption feature that is slightly stronger and peak-shifted compared with that observed for the surface, indicating that space weathering and/or radiative heating have caused subtle spectral changes in the uppermost surface. However, the strength and shape of the OH feature still suggests that the subsurface material experienced heating above 300 $$^{circ}$$C, similar to the surface. In contrast, thermophysical modeling indicates that radiative heating does not increase the temperature above 200 $$^{circ}$$C at the estimated excavation depth of 1 m, even if the semimajor axis is reduced to 0.344 au. This supports the hypothesis that primary thermal alteration occurred due to radiogenic and/or impact heating on Ryugu's parent body.

Journal Articles

Evaluation and mitigation of reactive ion etching-induced damage in AlGaN/GaN MOS structures fabricated by low-power inductively coupled plasma

Nozaki, Mikito*; Terashima, Daiki*; Yoshigoe, Akitaka; Hosoi, Takuji*; Shimura, Takayoshi*; Watanabe, Heiji*

Japanese Journal of Applied Physics, 59(SM), p.SMMA07_1 - SMMA07_7, 2020/07

 Times Cited Count:2 Percentile:12.12(Physics, Applied)

AlGaN/GaN metal-oxide-semiconductor (MOS) structures were fabricated by low-power inductively coupled plasma reactive ion etching and chemical vapor deposition of SiO$$_{2}$$ dielectrics on the etched surfaces, and they were systematically investigated by physical and electrical characterizations in an effort to develop a low-damage recessed gate process. The comprehensive research demonstrates the significant advantages of the proposed low-damage recessed gate process for fabricating next-generation AlGaN/GaN MOS-HFET devices.

Journal Articles

Sorption properties of aluminum hexacyanoferrate for platinum group elements

Mishima, Ria; Inaba, Yusuke*; Tachioka, Sotaro*; Harigai, Miki*; Watanabe, Shinta*; Onoe, Jun*; Nakase, Masahiko*; Matsumura, Tatsuro; Takeshita, Kenji*

Chemistry Letters, 49(1), p.83 - 86, 2020/01

 Times Cited Count:4 Percentile:20.61(Chemistry, Multidisciplinary)

Separation of platinum group metals (PGMs) from high-level liquid waste generated from the reprocessing of spent nuclear fuels is important to produce good quality vitrified glass for final disposal. A new sorbent, Aluminum hexacyanoferrate (AlHCF), was synthesized and the general sorption behavior of PGMs from concentrated nitric acid was examined. Nitric acid caused substantial elution of AlHCF but the sorption of Pd stabilized the structure. Consequently, Rh was sorbed in the presence of Pd, whereas single Rh sorption caused complete dissolution of AlHCF. Relation between sorbed mount of Pd vs eluted Al and Fe revealed that the elution ratio of Al and Fe was not the same as molar ratio of synthesized AlHCF, indicating the re-sorption of Fe resulted in formation of new structure. The sorption mechanism of PGMs by this new sorbent, AlHCF, not only the simple ion exchange, but also oxidation reduction reaction as well as kinetics play important rule. Understanding the general sorption and dissolution behavior will help improve the sorption performance of PGMs by AlHCF.

Journal Articles

Progress of criticality control study on fuel debris by Japan Atomic Energy Agency to support Secretariat of Nuclear Regulation Authority

Tonoike, Kotaro; Watanabe, Tomoaki; Gunji, Satoshi; Yamane, Yuichi; Nagaya, Yasunobu; Umeda, Miki; Izawa, Kazuhiko; Ogawa, Kazuhiko

Proceedings of 11th International Conference on Nuclear Criticality Safety (ICNC 2019) (Internet), 9 Pages, 2019/09

Criticality control of the fuel debris in the Fukushima Daiichi Nuclear Power Station would be a risk-informed control to mitigate consequences of criticality events, instead of a deterministic control to prevent such events. The Nuclear Regulation Authority of Japan has administrated a research and development program to tackle this challenge since 2014. The Nuclear Safety Research Center of Japan Atomic Energy Agency, commissioned by the authority, is conducting activities such as computations of criticality characteristics of the fuel debris, development of a criticality analysis code, preparation of criticality experiments, and development of a criticality risk analysis method.

Journal Articles

Abnormally enhanced diamagnetism in Al-Zn-Mg alloys

Nishimura, Katsuhiko*; Matsuda, Kenji*; Lee, S.*; Nunomura, Norio*; Shimano, Tomoki*; Bendo, A.*; Watanabe, Katsumi*; Tsuchiya, Taiki*; Namiki, Takahiro*; Toda, Hiroyuki*; et al.

Journal of Alloys and Compounds, 774, p.405 - 409, 2019/02

 Times Cited Count:3 Percentile:17.62(Chemistry, Physical)

Journal Articles

Physical and electrical characterizations of AlGaN/GaN MOS gate stacks with AlGaN surface oxidation treatment

Yamada, Takahiro*; Watanabe, Kenta*; Nozaki, Mikito*; Shih, H.-A.*; Nakazawa, Satoshi*; Anda, Yoshiharu*; Ueda, Tetsuzo*; Yoshigoe, Akitaka; Hosoi, Takuji*; Shimura, Takayoshi*; et al.

Japanese Journal of Applied Physics, 57(6S3), p.06KA07_1 - 06KA07_6, 2018/06

 Times Cited Count:6 Percentile:29.69(Physics, Applied)

Thermal oxidation of AlGaN surface and its impact on the electrical properties of AlGaN/GaN MOS capacitors were investigated by means of synchrotron radiation photoelectron spectroscopy (SR-PES), atomic force microscopy (AFM) and C-V measurements. SR-PES analysis revealed that the AlGaN surface is oxidized even at low temperature of 400$$^{circ}$$C, in contrast to no oxide formation on GaN surface. However, since no noticeable change in the surface morphology was observed at temperatures up to 800$$^{circ}$$C, it can be concluded that an ultrathin oxide overlayer is formed on the AlGaN surface. On the other hand, for the oxidation treatments above 850$$^{circ}$$C, the formation of small oxide grains was observed over the entire area of the AlGaN surface, and the growth of oxide grains significantly degraded the surface morphology. Therefore, the AlGaN/GaN MOS capacitors were fabricated on the AlGaN surface oxidized at moderate temperatures up to 800$$^{circ}$$C. While we have confirmed that relatively good interface properties are obtained for direct AlON deposition without oxidation treatment, it was found that the oxidation treatment at 400$$^{circ}$$C leads to further improvement of interface properties and reduction of C-V hysteresis.

Journal Articles

SiO$$_{2}$$/AlON stacked gate dielectrics for AlGaN/GaN MOS heterojunction field-effect transistors

Watanabe, Kenta*; Terashima, Daiki*; Nozaki, Mikito*; Yamada, Takahiro*; Nakazawa, Satoshi*; Ishida, Masahiro*; Anda, Yoshiharu*; Ueda, Tetsuzo*; Yoshigoe, Akitaka; Hosoi, Takuji*; et al.

Japanese Journal of Applied Physics, 57(6S3), p.06KA03_1 - 06KA03_6, 2018/06

 Times Cited Count:10 Percentile:45.49(Physics, Applied)

The advantage of SiO$$_{2}$$/AlON stacked gate dielectrics over SiO$$_{2}$$, AlON and Al$$_{2}$$O$$_{3}$$ single dielectric layers was demonstrated. Our systematic research revealed that the optimized stacked structure with 3.3-nm-thick AlON interlayer is beneficial in terms of superior interface quality, reduced gate leakage current and C-V hysteresis for next-generation high frequency and high power AlGaN/GaN MOS-HFETs.

Journal Articles

Implementation of atomic layer deposition-based AlON gate dielectrics in AlGaN/GaN MOS structure and its physical and electrical properties

Nozaki, Mikito*; Watanabe, Kenta*; Yamada, Takahiro*; Shih, H.-A.*; Nakazawa, Satoshi*; Anda, Yoshiharu*; Ueda, Tetsuzo*; Yoshigoe, Akitaka; Hosoi, Takuji*; Shimura, Takayoshi*; et al.

Japanese Journal of Applied Physics, 57(6S3), p.06KA02_1 - 06KA02_7, 2018/06

 Times Cited Count:19 Percentile:66.85(Physics, Applied)

We fabricated AlON dielectric films by repeating thin AlN deposition and in situ O$$_{3}$$ oxidation for AlGaN/GaN MOS-HFETs. Uniform nitrogen distribution is achievable by the proposed ALD-based process and that nitrogen concentration can be precisely controlled by changing AlN thickness (ALD cycle number) in each step. It was found that AlON films grown by ALD system offers significant advantages in terms of practical application while keeping superior Vth stability and electrical properties at the insulator/AlGaN interface in AlGaN/GaN MOS-HFETs.

Journal Articles

Control of Ga-oxide interlayer growth and Ga diffusion in SiO$$_{2}$$/GaN stacks for high-quality GaN-based metal-oxide-semiconductor devices with improved gate dielectric reliability

Yamada, Takahiro*; Watanabe, Kenta*; Nozaki, Mikito*; Yamada, Hisashi*; Takahashi, Tokio*; Shimizu, Mitsuaki*; Yoshigoe, Akitaka; Hosoi, Takuji*; Shimura, Takayoshi*; Watanabe, Heiji*

Applied Physics Express, 11(1), p.015701_1 - 015701_4, 2018/01

 Times Cited Count:39 Percentile:84.61(Physics, Applied)

A simple and feasible method for fabricating high-quality and highly reliable GaN-based metal-oxide-semiconductor (MOS) devices was developed on the basis of systematic physical and electrical characterizations. Chemical vapor deposition of SiO$$_{2}$$ films directly onto GaN substrates forming Ga-oxide interlayers was used to fabricate SiO$$_{2}$$/GaO$$_{x}$$/GaN stacked structures. Although well-behaved hysteresis-free GaN-MOS capacitors with extremely low interface state density below 10$$^{10}$$cm$$^{-2}$$eV$$^{-1}$$ were obtained by post-deposition annealing, Ga diffusion into overlying SiO$$_{2}$$ layers severely degraded the insulating property and dielectric breakdown characteristics of the MOS devices. However, this problem was found to be solved by employing rapid thermal processing, leading to superior performance of the GaN-MOS devices in terms of interface quality, insulating property and gate dielectric reliability.

Journal Articles

Design and control of interface reaction between Al-based dielectrics and AlGaN Layer in AlGaN/GaN metal-oxide-semiconductor structures

Watanabe, Kenta*; Nozaki, Mikito*; Yamada, Takahiro*; Nakazawa, Satoshi*; Anda, Yoshiharu*; Ishida, Masahiro*; Ueda, Tetsuzo*; Yoshigoe, Akitaka; Hosoi, Takuji*; Shimura, Takayoshi*; et al.

Applied Physics Letters, 111(4), p.042102_1 - 042102_5, 2017/07

 Times Cited Count:16 Percentile:60.08(Physics, Applied)

AlGaN/GaN HFET (hetero-junction field-effect transitor) has gained much attention as next-generation high frequency and high power devices. In this study, we systematically investigated the interface reaction between Al-based dielectrics (Al$$_{2}$$O$$_{3}$$ and AlON) and AlGaN layer during deposition and post-deposition annealing (PDA), and revealed high thermal stability of AlON/AlGaN interface.

Journal Articles

Comprehensive study on initial thermal oxidation of GaN(0001) surface and subsequent oxide growth in dry oxygen ambient

Yamada, Takahiro*; Ito, Joyo*; Asahara, Ryohei*; Watanabe, Kenta*; Nozaki, Mikito*; Nakazawa, Satoshi*; Anda, Yoshiharu*; Ishida, Masahiro*; Ueda, Tetsuzo*; Yoshigoe, Akitaka; et al.

Journal of Applied Physics, 121(3), p.035303_1 - 035303_9, 2017/01

 Times Cited Count:67 Percentile:92.03(Physics, Applied)

Initial oxidation of GaN(0001) epilayers and subsequent growth of thermal oxides in dry oxygen ambient were investigated by means of X-ray photoelectron spectroscopy, spectroscopic ellipsometry, atomic force microscopy and X-ray diffraction measurements. It was found that, whereas initial oxide formation tends to saturate at temperatures below 800$$^{circ}$$C, selective growth of small oxide grains proceeds at dislocations in the epilayers, followed by noticeable grain growth leading to rough surface morphology at higher oxidation temperatures. This indicates that oxide growth and its morphology are crucially dependent on the defect density in the GaN epilayers. Structural characterizations also revealed that polycrystalline $$alpha$$- and $$beta$$-phase Ga$$_{2}$$O$$_{3}$$ grains in an epitaxial relation with the GaN substrate are formed from the initial stage of the oxide growth. On the basis of these experimental findings, we also developed a comprehensive model for GaN oxidation mediated by nitrogen removal and mass transport.

Journal Articles

Effect of nitrogen incorporation into Al-based gate insulators in AlON/AlGaN/GaN metal-oxide-semiconductor structures

Asahara, Ryohei*; Nozaki, Mikito*; Yamada, Takahiro*; Ito, Joyo*; Nakazawa, Satoshi*; Ishida, Masahiro*; Ueda, Tetsuzo*; Yoshigoe, Akitaka; Hosoi, Takuji*; Shimura, Takayoshi*; et al.

Applied Physics Express, 9(10), p.101002_1 - 101002_4, 2016/10

 Times Cited Count:40 Percentile:83.58(Physics, Applied)

The superior physical and electrical properties of AlON gate dielectrics on AlGaN/GaN substrates in terms of thermal stability, reliability, and interface quality were demonstrated by direct AlON deposition and subsequent annealing. Nitrogen incorporation into alumina was proven to be beneficial both for suppressing intermixing at the insulator/AlGaN interface and reducing the number of electrical defects in Al$$_{2}$$O$$_{3}$$ films. Consequently, we achieved high-quality AlON/AlGaN/GaN metal-oxide-semiconductor capacitors with improved stability against charge injection and a reduced interface state density as low as 1.2$$times$$10$$^{11}$$ cm$$^{-2}$$eV$$^{-1}$$. The impact of nitrogen incorporation into the insulator was discussed on the basis of experimental findings.

Journal Articles

Synchrotron radiation X-ray photoelectron spectroscopy of Ti/Al ohmic contacts to n-type GaN; Key role of Al capping layers in interface scavenging reactions

Nozaki, Mikito*; Ito, Joyo*; Asahara, Ryohei*; Nakazawa, Satoshi*; Ishida, Masahiro*; Ueda, Tetsuzo*; Yoshigoe, Akitaka; Hosoi, Takuji*; Shimura, Takayoshi*; Watanabe, Heiji*

Applied Physics Express, 9(10), p.105801_1 - 105801_4, 2016/10

 Times Cited Count:5 Percentile:24.61(Physics, Applied)

Interface reactions between Ti-based electrodes and n-type GaN epilayers were investigated by synchrotron radiation X-ray photoelectron spectroscopy. Metallic Ga and thin TiN alloys were formed at the interface by subsequently depositing Al capping layers on ultrathin Ti layers even at room temperature. By comparing results from stacked Ti/Al and single Ti electrodes, the essential role of Al capping layers serving as an oxygen-scavenging element to produce reactive Ti underlayers was demonstrated. Further growth of the metallic interlayer during annealing was observed. A strategy for achieving low-resistance ohmic contacts to n-GaN with low-thermal-budget processing is discussed.

Journal Articles

Progress report of Japanese simulation research projects using the high-performance computer system Helios in the International Fusion Energy Research Centre

Ishizawa, Akihiro*; Idomura, Yasuhiro; Imadera, Kenji*; Kasuya, Naohiro*; Kanno, Ryutaro*; Satake, Shinsuke*; Tatsuno, Tomoya*; Nakata, Motoki*; Nunami, Masanori*; Maeyama, Shinya*; et al.

Purazuma, Kaku Yugo Gakkai-Shi, 92(3), p.157 - 210, 2016/03

The high-performance computer system Helios which is located at The Computational Simulation Centre (CSC) in The International Fusion Energy Research Centre (IFERC) started its operation in January 2012 under the Broader Approach (BA) agreement between Japan and the EU. The Helios system has been used for magnetised fusion related simulation studies in the EU and Japan and has kept high average usage rate. As a result, the Helios system has contributed to many research products in a wide range of research areas from core plasma physics to reactor material and reactor engineering. This project review gives a short catalogue of domestic simulation research projects. First, we outline the IFERC-CSC project. After that, shown are objectives of the research projects, numerical schemes used in simulation codes, obtained results and necessary computations in future.

Journal Articles

Radiation response of silicon carbide metal-oxide-semiconductor transistors in high dose region

Oshima, Takeshi; Yokoseki, Takashi; Murata, Koichi; Matsuda, Takuma; Mitomo, Satoshi; Abe, Hiroshi; Makino, Takahiro; Onoda, Shinobu; Hijikata, Yasuto*; Tanaka, Yuki*; et al.

Japanese Journal of Applied Physics, 55(1S), p.01AD01_1 - 01AD01_4, 2016/01

 Times Cited Count:14 Percentile:54.58(Physics, Applied)

Journal Articles

Recovery of the electrical characteristics of SiC-MOSFETs irradiated with gamma-rays by thermal treatments

Yokoseki, Takashi; Abe, Hiroshi; Makino, Takahiro; Onoda, Shinobu; Tanaka, Yuki*; Kandori, Mikio*; Yoshie, Toru*; Hijikata, Yasuto*; Oshima, Takeshi

Materials Science Forum, 821-823, p.705 - 708, 2015/07

137 (Records 1-20 displayed on this page)